sela sola

O sela o le la ua vaevaeina i le tioata tioata ma le amorphous silicon, lea e mafai ona vaevaeina atili ai sela tioata tioata i sela monocrystalline ma sela polycrystalline;e ese le lelei o le silicon monocrystalline mai le silicon crystalline.

Fa'avasegaga:

O sela silicon tioata la e masani ona faʻaaogaina i Saina e mafai ona vaevaeina i:

tioata tasi 125*125

tioata tasi 156*156

Polycrystalline 156*156

tioata tasi 150*150

tioata tasi 103*103

Polycrystalline 125*125

Fa'agasologa o le gaosiga:

O le faagasologa o le gaosiga o sela o le la ua vaevaeina i le suʻesuʻeina o le silicon wafer - surface texturing ma pickling - diffusion junction - dephosphorization silicon glass - plasma etching and pickling - anti-reflection coating - screen printing - Rapid sintering, etc. O auiliiliga e faapea:

1. Su'esu'ega wafer Silicon

Silicon wafers o lo'o feavea'i sela o le la, ma o le tulaga lelei o wafers silicon e fuafua sa'o ai le fa'aliliuga lelei o sela sola.O le mea lea, e mana'omia ai le asiasia o fa'asolo mai o le silicon wafers.O lenei faiga e masani ona faʻaaogaina mo le fuaina i luga ole laiga o nisi faʻataʻitaʻiga faʻapitoa o wafers silicon, o nei taʻiala e masani ona aofia ai le faʻafefeteina luga ole, laʻititi laʻititi i le olaga atoa, resistivity, ituaiga P / N ma microcracks, ma isi. , fa'aliliuina wafer silicon, vaega tu'ufa'atasiga faiga ma fa'ailoga fa'ailoga e fa.Faatasi ai ma i latou, o le photovoltaic silicon wafer detector e iloa ai le le tutusa o luga o le silicon wafer, ma i le taimi lava e tasi e iloa ai foliga vaaia e pei o le tele ma le diagonal o le silicon wafer;o le micro-crack detection module o loʻo faʻaaogaina e iloa ai le totonugalemu micro-taʻetaʻe o le kasa wafer;E le gata i lea, e lua Su'esu'ega modules, o se tasi o le initaneti su'ega modules e masani ona fa'aaogaina e fa'ata'ita'i ai le tele o le resistivity o wafers silicon ma le ituaiga o wafers silicon, ma o le isi module e fa'aaogaina e iloa ai le la'ititi o lo'o feavea'i le olaga atoa o wafers silicon.A'o le'i maua le va'aiga la'ititi i le olaga atoa ma le resistivity, e tatau ona iloa le diagonal ma micro-ta'eta'e o le kasa wafer, ma otometi le aveesea o le kasa fa'aleagaina.Silicon wafer inspection mea faigaluega e mafai ona otometi lava ona utaina ma la'u ese fafie, ma e mafai ona tuu oloa le agavaa i se tulaga mautu, ma faaleleia ai le sao atoatoa o le asiasiga ma le lelei.

2. Lau'ele'ele fe'au

O le tapenaga o le silicon texture monocrystalline o le faʻaaogaina o le anisotropic etching o le silicon e fausia ai le faitau miliona o pyramids tetrahedral, o lona uiga, pyramid structures, i luga o luga o sikuea sikuea uma o le silicon.Ona o le tele o mafaufauga ma le faʻafefe o le malamalama o loʻo tupu i luga o le fogaeleele, ua faʻateleina le faʻaogaina o le malamalama, ma faʻaleleia le faʻaogaina o le taimi nei ma le suiga o le maa.O le vaifofo etching anisotropic o le silicon e masani lava o se vaifofo alkaline vevela.Ole alkali e maua ole sodium hydroxide, potassium hydroxide, lithium hydroxide ma ethylenediamine.O le tele o le suede silicon e saunia e ala i le faʻaaogaina o se vaifofo faʻafefete taugofie o le sodium hydroxide ma le faʻatonuga e tusa ma le 1%, ma o le vevela etching e 70-85 °C.Ina ia mafai ona maua se suede toniga, ava malosi e pei o le ethanol ma le isopropanol e tatau foi ona faaopoopo i le fofo e avea ma mea faʻalavelave e faʻavaveina ai le pala o le silicon.Aʻo leʻi saunia le suede, e tatau ona faʻapipiʻi le suede wafer i le faʻapipiʻiina muamua, ma e tusa ma le 20-25 μm o loʻo togitogiina i se vaifofo etching alkaline poʻo acidic.A mae'a ona togitogia le suede, ona fa'amama lea o vaila'au masani.E le tatau ona teuina i totonu o le vai mo se taimi umi e puipuia ai le pisia, ma e tatau ona faʻasalalau i se taimi vave e mafai ai.

3. Nonoa fa'asalalau

E manaʻomia e sela sola se vaega tele PN junction e iloa ai le liua o le malamalama malosi i le eletise eletise, ma o se ogaumu faʻasalalau o se meafaigaluega faʻapitoa mo le gaosiga o le PN junction o sela sola.O le ogaumu faʻasalalau tubular e masani lava ona aofia ai vaega e fa: o le pito i luga ma le pito i lalo o le vaʻa quartz, o le kesi kesi potu, o le ogaumu tino vaega ma le kapoti kesi vaega.O le fa'asalalauina e masani ona fa'aogaina le puna vai o le phosphorus oxychloride e fai ma puna fa'asalalau.Tuu le P-type silicon wafer i totonu o le koneteina quartz o le ogaumu faʻasalalau tubular, ma faʻaaoga le nitrogen e aumai ai le phosphorus oxychloride i totonu o le pusa quartz i le maualuga o le vevela o le 850-900 tikeri Celsius.O le phosphorus oxychloride e fegalegaleai ma le silicon wafer e maua ai le phosphorus.atomu.A maeʻa se taimi faʻapitoa, o le phosphorus atoms e ulu atu i luga o le vaega o le silicon wafer mai itu uma, ma ulu ma faʻasalalau i totonu o le silicon wafer e ala i va i le va o atoms silicon, e fausia ai le fesoʻotaʻiga i le va o le N-type semiconductor ma le P- ituaiga semiconductor, o lona uiga, o le PN junction.O le PN junction na gaosia e lenei metotia e lelei le tutusa, o le le tutusa o le tetee o le laupepa e itiiti ifo i le 10%, ma o le laʻititi laʻititi o le ola e mafai ona sili atu i le 10ms.O le fausiaina o le PN junction o le faiga sili lea ona taua ma taua i le gaosiga o le la.Talu ai ona o le faʻavaeina o le PN junction, o le eletise ma pu e le toe foʻi i o latou nofoaga muamua pe a uma ona tafe, ina ia faʻatupuina se taimi, ma o le taimi nei e tosoina i fafo e se uaea, o loʻo i ai saʻo.

4. Dephosphorylation silicate tioata

O lenei faiga e faʻaaogaina i le gaosiga o le gaosiga o sela sola.E ala i vaila'au fa'ama'i, o lo'o fa'aofuina le fa'ama'i kasa i totonu o se vaifofo o le vai e fa'atupu ai se fa'alavelave fa'ama'i e fa'atupu ai se vaila'au lavelave soluble hexafluorosilicic e aveese ai le fa'asalalauga.O se vaega o tioata phosphosilicate ua faia i luga o le pito i luga o le silicon wafer pe a uma le fesoʻotaʻiga.I le faagasologa o le fa'asalalauina, e fa'afeagai le POCL3 ma le O2 e fai ai le P2O5 lea e tu'u i luga o le fa'a'a'ai o le silikoni.O le P2O5 e tali atu ma Si e gaosia ai le SiO2 ma le phosphorus atoms, I lenei auala, o se vaega o le SiO2 o loʻo i ai elemene phosphorus o loʻo fausia i luga o le pito o le silicon wafer, lea e taʻua o le tioata phosphosilicate.O mea faigaluega mo le aveeseina o tioata silicate phosphorous e masani lava ona aofia ai le tino autu, tane faʻamama, masini taʻavale servo, lima faʻainisinia, faʻaogaina o le eletise ma le faʻaogaina o le eletise otometi.O puna'oa autu o le hydrofluoric acid, nitrogen, compressed air, vai mama, vevela vevela ma vai otaota.Hydrofluoric acid e fa'afefeteina le silica ona o le hydrofluoric acid e tali atu ma le silica e fa'atupu ai le kasa tetrafluoride kasa.Afai e tele naua le hydrofluoric acid, o le silicon tetrafluoride e gaosia e le tali o le a atili tali atu i le hydrofluoric acid e fausia ai se faʻalavelave soluble, hexafluorosilicic acid.

1

5. Fa'ama'i toto

Talu ai i le faagasologa o le fa'asalalauina, e tusa lava pe fa'afeiloa'i le fa'asolo i tua, e mautinoa lava o le a fa'asalalauina le phosphorus i luga o luga uma e aofia ai ma pito o le masi fa'asili.O ata eletise e aoina mai i le pito i luma o le PN junction o le a tafe i luga o le pito o loʻo faʻasalalau ai le phosphorus i le pito i tua o le PN junction, ma mafua ai se taamilosaga puupuu.O le mea lea, e tatau ona togitogia le silicon doped faataamilo i le solar cell e aveese ai le PN junction i le pito cell.O lenei faiga e masani ona faia i le faʻaogaina o le plasma etching techniques.Plasma etching o loʻo i se tulaga maualalo o le mamafa, o matua mole o le kesi faʻafefe CF4 o loʻo faʻafiafiaina e ala i le eletise eletise e faʻatupu ai le ionization ma fausia ai le plasma.Plasma e aofia ai electrons ma ions ua molia.I lalo o le aʻafiaga o electrons, o le kesi i totonu o le potu tali e mafai ona faʻaaogaina le malosi ma fausia ai se numera tele o vaega faʻamalosi e faʻaopoopo i le liua i ions.O vaega faʻamalosi malosi e oʻo atu i luga o le SiO2 ona o le faʻasalalau poʻo lalo o le gaioiga a se eletise eletise, lea latou te gaoioi faʻamalosi ai ma luga ole meafaitino e togitogia, ma fausia ai mea faʻafefete faʻafefe e vavae ese mai luga ole mea e fai. ua togitogia, ma e pamu i fafo mai le ana e le masini masini.

6. Faʻapipiʻi faʻafefete

O le fa'afoliga o le fa'apolokalame fa'alelei luga o le 35%.Ina ia faʻaitiitia le faʻaaliga i luga ma faʻaleleia atili le suiga o le sela, e manaʻomia le teuina o se vaega o le silicon nitride anti-reflection film.I le gaosiga o fale gaosi oloa, e masani ona faʻaaogaina meafaigaluega a le PECVD e saunia ai ata faʻataʻitaʻiga.O le PECVD o le plasma faʻaleleia le faʻaputuina o ausa vailaʻau.O lona faʻavae faʻapitoa o le faʻaogaina lea o le plasma maualalo-vevela e fai ma puna o le malosi, o le faʻataʻitaʻiga e tuʻuina i luga o le cathode o le susulu o le susulu i lalo o le mamafa o le mamafa, o le faʻaogaina o le susulu e faʻaaogaina e faʻamafanafana ai le faʻataʻitaʻiga i se vevela ua faʻatulagaina, ona maua ai lea o se aofaiga talafeagai o le. kasa gaoioi SiH4 ma le NH3 ua fa'aofi mai.A maeʻa se faʻasologa o vailaʻau faʻamaʻi ma gaioiga plasma, o se ata tifaga malo, o lona uiga, o se ata silicon nitride, e fausia i luga o le faʻataʻitaʻiga.I se tulaga lautele, o le mafiafia o le ata na teuina e lenei plasma-faʻaleleia le faʻaogaina o vailaʻau vailaʻau e tusa ma le 70 nm.O ata tifaga o lenei mafiafia o loʻo i ai galuega faʻaogaina.I le faʻaaogaina o le mataupu faavae o le faʻalavelave ata tifaga, o le ata o le malamalama e mafai ona faʻaitiitia tele, o le vaʻa puʻupuʻu o loʻo i ai nei ma le gaosiga o le maʻa ua matua faʻateleina, ma faʻaleleia atili le lelei.

7. lomitusi screen

A maeʻa ona uia e le solar cell le faʻagasologa o le texturing, diffusion ma le PECVD, ua fausia se PN junction, lea e mafai ona gaosia ai le taimi nei i lalo o le malamalama.Ina ia mafai ona auina atu i fafo le gaosiga o loʻo i ai nei, e tatau ona faia ni eletise lelei ma le le lelei i luga o le maa.E tele auala e fai ai le eletise, ma o le lolomiina o le laupepa o le gaosiga masani lea mo le faia o eletise eletise.Lomiga mata o le lolomi lea o se mamanu ua uma ona fuafuaina i luga o le mea'ai e ala i le embossing.O meafaigaluega e aofia ai vaega e tolu: lolomi faʻapipiʻi siliva-alumini i tua o le maa, lolomi faʻapipiʻi alumini i tua o le maa, ma le lolomi faʻapipiʻi siliva i luma o le maa.O lona ta'iala galue o le: fa'aoga le mata o le lau mamanu e ulu i totonu o le slurry, fa'aoga se fa'amalosi i luga o le vaega slurry o le lau fa'atasi ma se va'aiga, ma aga'i atu i le isi pito o le lau i le taimi lava e tasi.O le vaitusi e oomi mai le mata o le vaega kalafi i luga o le mea'ai e le squeegee a'o gaoioi.Ona o le aafiaga viscous o le faapipii, o le faʻailoga e faʻamautu i totonu o se vaega patino, ma o le squeegee e masani ona fesoʻotaʻi laina ma le ipu lomitusi lau ma le substrate i le taimi o le lolomiina, ma o le laina faʻafesoʻotaʻi e gaoioi ma le gaioiga o le squeegee e faʻamaeʻa. le lomitusi ta.

8. sintering vave

E le mafai ona fa'aoga tuusa'o le fa'amea fa'asilikoni lomi mata.E manaʻomia le faʻavave vave i totonu o se ogaumu sintering e mu ese ai le fusi o le resin organic, ma tuʻu ai toetoe lava mama eletise siliva e pipii vavalalata i le kasa masima ona o le gaioiga o tioata.Pe a oʻo atu le vevela o le eletise siliva ma le tioata tioata i le vevela eutectic, o loʻo tuʻufaʻatasia le tioata silicon atoms i totonu o le mea eletise siliva siliva i se vaega patino, ma faʻapipiʻiina ai le fesoʻotaʻiga ohmic o le pito i luga ma lalo ifo o le eletise, ma faʻaleleia le taamilosaga tatala. voltage ma le fa'atumuina o le sela.O le parakalafa autu o le faia ia i ai uiga tetee e faʻaleleia ai le lelei o le liua o le sela.

O le ogaumu faʻalumaina e vaevaeina i ni vaega se tolu: muaʻi faʻapipiʻi, faʻafefe, ma faʻamafanafana.O le faʻamoemoega o le laasaga muamua o le faʻapipiʻiina o le faʻapalapala ma susunuina le mea faʻapipiʻi polymer i totonu o le slurry, ma o le vevela e maualuga lemu i lenei laasaga;i le sintering tulaga, eseese tali faaletino ma vailaau ua maeʻa i totonu o le tino sintered e fausia ai se fausaga ata tifaga tetee, faia moni tetee., o le vevela e oʻo i se tumutumu i lenei laasaga;i le cooling ma cooling tulaga, o le tioata cooled, faamaaa ma faʻamalosi, ina ia faʻapipiʻi faʻamautu le fausaga ata tifaga tetee i le substrate.

9. Peripheral

I le faʻagasologa o le gaosiga o sela, o mea faʻapitoa e pei o le eletise, eletise, vai, alavai, HVAC, vacuum, ma ausa faʻapitoa e manaʻomia foi.O le puipuiga o le afi ma le puipuiga o le siosiomaga e taua tele foi ina ia mautinoa le saogalemu ma atinae gafataulimaina.Mo se laina gaosiga o le la ma le gaosiga faʻaletausaga o le 50MW, o le faʻaaogaina o le eletise o le faʻagasologa ma le eletise naʻo ia e tusa ma le 1800KW.Ole aofaʻi ole faagasologa ole vai mama e tusa ma le 15 tone ile itula, ma o tulaga manaʻomia ole vai e fetaui ma le EW-1 tulaga faʻapitoa ole vai eletise eletise a Saina GB/T11446.1-1997.Ole aofaʻi ole faʻagasologa ole vai malulu e tusa ma le 15 tone i le itula, ole lapopoa o le vai e le tatau ona sili atu nai lo le 10 microns, ma le vevela o le vai e tatau ona 15-20 °C.Ole tele ole fa'amama fa'amama e tusa ma le 300M3/H.I le taimi lava e tasi, e tusa ma le 20 kupita mita o tane e teu ai le nitrogen ma le 10 kupita mita o tane e teu ai okesene e manaʻomia foi.I le amanaia o tulaga saogalemu o kasa faʻapitoa e pei o le silane, e tatau foi ona faʻatūina se potu kesi faʻapitoa e faʻamautinoa ai le saogalemu o le gaosiga.E le gata i lea, o olo faʻafefe silane ma nofoaga e togafitia ai otaota o loʻo manaʻomia foi nofoaga mo le gaosiga o sela.


Taimi meli: Me-30-2022